CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL 频率计

搜索资源列表

  1. plj

    0下载:
  2. 本程序为VHDL编写的频率计,测频范围从0.1Hz到1G-VHDL procedures for the preparation of the frequency meter, measuring frequency range from 0.1Hz to 1G
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:7586
    • 提供者:tmx
  1. 1111078805

    0下载:
  2. VHDL使用例子,包括走马灯,路灯,天线,电子表,数字频率计等-examples of the use of VHDL, including merry-go-round, street lamps, antennas, electronic watches, digital frequency meter, etc.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:446679
    • 提供者:兔八哥
  1. CPLDOGRAM

    1下载:
  2. 摘要: 文中介绍了数字频率计的结构、工作原理及计数方式,给出了基于VHDL语言的频率计系统的行为源描述,讨论了在VHDL的高级综合系统QuartusII的支持下,自顶向下地进行传输模块的设计工程,并给出了系统的仿真波形以及其应用实践。-Abstract : This paper introduces a digital frequency of the structure and working principle and counting, is based on VHDL Frequency
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:5918
    • 提供者:李越
  1. fpga-example2

    1下载:
  2. ASK调制与解调VHDL程序及仿真 FSK调制与解调VHDL程序及仿真 PSK调制与解调VHDL程序及仿真 基带码发生器程序设计与仿真 频率计程序设计与仿真-ASK modulation and demodulation VHDL simulation procedures and FSK modulation and demodulation process and VHDL simulation PSK modulation and demodulation process
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:618922
    • 提供者:张伟
  1. 8194655377

    0下载:
  2. 利用VHDL语言实现单片简易自动量程数字频率计-use VHDL single summary autoranging digital frequency meter
  3. 所属分类:WEB源码

    • 发布日期:2008-10-13
    • 文件大小:100001
    • 提供者:wanglin
  1. GWDVPB

    0下载:
  2. 基于VHDL语言的高精度频率计的设计,已通过实验测试-based on VHDL frequency precision of the design, experimental test
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1842
    • 提供者:钟声
  1. fraq

    0下载:
  2. 基于VHDL语言的频率计具有高速计频,体积小的特点-based on VHDL or with the frequency or high frequency, small size characteristics
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:497130
    • 提供者:肖建华
  1. frequency_meter_VHDL

    0下载:
  2. 一个用VHDL完成的8位数显的16进制的频率计-a VHDL completed 8 of 16 significant median band of frequency meter
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:5147
    • 提供者:袁卫
  1. Frequency_counter

    0下载:
  2. VHDL语言写的频率计的程序,内带完整的技术报告-VHDL write the frequency of procedures, brought integrity of the technical report
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:317831
    • 提供者:刘西圣
  1. cymometer

    0下载:
  2. vhdl 实现的频率计,可以到实验箱上实现.-vhdl achieve the frequency, it can be to achieve experimental box.
  3. 所属分类:书籍源码

    • 发布日期:2008-10-13
    • 文件大小:1801
    • 提供者:yly
  1. Digital_system_design_example

    0下载:
  2. 数字系统设计实例.pdf,VHDL语言实现,7.1 半整数分频器的设计7.2 音乐发生器7.3 2FSK/2PSK信号产生器7.4 实用多功能电子表7.5 交通灯控制器 7.6 数字频率计.值得一看。-digital system design examples. Pdf, VHDL, 7.1-integer divider design Music Generator 7.2 7.3 2FSK/2PSK Signal Generator 7.4 Practical multi-functi
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:457718
    • 提供者:王天
  1. VHDL_pinlvji

    0下载:
  2. 频率计的VHDL实现,使用10K20,包括顶层电路图,测频范围:1Hz--10MHz-frequency of VHDL, use 10K20, including top-level circuit, measuring frequency range : 1Hz -- 10MHz
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:20426
    • 提供者:k
  1. FPGA_27eg

    1下载:
  2. FPGA很有价值的27实例.rar 包括 LED控制VHDL程序与仿真 2004.8修改.doc; LED控制VHDL程序与仿真; LCD控制VHDL程序与仿真 2004.8修改; LCD控制VHDL程序与仿真; ADC0809 VHDL控制程序; TLC5510 VHDL控制程序; DAC0832 接口电路程序; TLC7524接口电路程序; URAT VHDL程序与仿真; ASK调制与解调VHDL程序及仿真; FSK调制与解调VHDL程序及仿真
  3. 所属分类:文件操作

    • 发布日期:2008-10-13
    • 文件大小:1279333
    • 提供者:
  1. VHDL-example-Digital-Frequency-Meter

    0下载:
  2. 用VHDL实现的一个例子,完成数字频率计的功能,大家可以试一下。-VHDL example-Digital Frequency Meter
  3. 所属分类:software engineering

    • 发布日期:2017-04-11
    • 文件大小:619
    • 提供者:lily
  1. VHDL

    0下载:
  2. VHDL,介绍简单的语句,有计时器、频率计等例子。-Very-High-Speed Integrated Circuit Hardware Descr iption Language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1308794
    • 提供者:Chensheng Mao
  1. DDS-VHDL

    0下载:
  2. 数字频率计DDS的VHDL代码,有很详细的注释-the source code of DDS in VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3377
    • 提供者:冰凝
  1. pinlvji-design-VHDL

    0下载:
  2. 使用Altera公司的EP2C35系列的FPGA芯片,利用SOPC-NIOSII-EP2C35开发板设计和仿真一个数字频率计,对1Hz~250KHz 的脉冲进行频率测量,采用等精度测量,即在所测量的整个频段内部,均可实现相同精度的测量,测量精度与频率无关,结果在数码管上显示-The use of Altera EP2C35 series FPGA chip using the SOPC-NIOSII-EP2C35 board design and simulation of a digital
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1366811
    • 提供者:淡然
  1. AD9832

    0下载:
  2. AD9832频率计的VHDL驱动,可以调整频率及相位(VHDL driver for AD9832 frequency meter)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-01
    • 文件大小:1627136
    • 提供者:高少波
  1. hdlsrc

    0下载:
  2. cONVERTER FROM MAT TO HDL
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-22
    • 文件大小:1774592
    • 提供者:aviro1984
  1. 1

    1下载:
  2. VHDL代码,一些课本的小程序。包含3线-8线译码器,4选1选择器,6层电梯,8线-3线编码器,8线-3线优先编码器,8选1,BCD-7段显示译码器真值表,半加器,摩尔状态机,数字频率计,数字时钟,序列检测器的设计,一般状态机等等。(VHDL code, some textbooks for small programs. Includes 3 -8 decoder, 4 1 selector, 6 elevator, line 8 Line 8 line -3 encoder, -3 prio
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-22
    • 文件大小:453632
    • 提供者:zidting
« 1 2 3 4 5 67 8 9 10 11 ... 14 »
搜珍网 www.dssz.com